CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - cpu design

搜索资源列表

  1. good_CPU

    0下载:
  2. 本代码是在modelsim下运行的模拟8×8位的CPU,执行程度,对深入理解CPU设计和运行原理具有重要意义- This code is simulation 8脳8 position CPU which moves under modelsim, carries out the degree, to thoroughly understood the CPU design and the movement principle have the vital significance
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:20812
    • 提供者:许明
  1. MCUDesign

    0下载:
  2. 《Digital Logic And Microprocessor Design With VHDL》,CPU设计经典参考书-"Digital Logic And Microprocessor Design With VHDL, "CPU design classic reference books
  3. 所属分类:开发工具

    • 发布日期:2008-10-13
    • 文件大小:4816109
    • 提供者:hanberg
  1. cpuTerminate

    0下载:
  2. 用VHDL 编写的一个16位的cpu 设计方案,可以执行8条指令。-use VHDL to prepare a 16 cpu design of the program, the implementation of eight instructions.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2109040
    • 提供者:宋文强
  1. sdcc-src-2.6.0.tar

    1下载:
  2. sdcc是为51等小型嵌入式cpu设计的c语言编译器支持数种不同类型的cpu-sdcc to 51 other small-scale embedded cpu design c compiler supports several different types of c pu
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:4229518
    • 提供者:liuhua
  1. the-design-of-16-bit-cpu

    0下载:
  2. 用vhdl硬件语言设计的16位cpu,上传的压缩包既包含源代码又包含详细的文档说明。-with vhdl hardware design language of the 16 cpu, Upload compressed contains both the source code also contains a detailed document shows.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:128112
    • 提供者:晶晶
  1. riscmcu

    0下载:
  2. 精简CPU设计,需要的可以下来看看,是VERILOG语言写的-streamlined CPU design, the need to be down look at the language is written in verilog
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:80466
    • 提供者:陈磊
  1. Loongson_2E_datasheet

    0下载:
  2. Chinese No.1 CPU Loongson 2E datasheet,support national CPU design
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:478550
    • 提供者:csallon
  1. 硬件cpu&rom课程设计

    0下载:
  2. 这是有关cpu和存储器挂接的一个硬件课程设计,图片是用protel 99 se 画的,程序用唐都仪器调试通过,仅为一个理论性的东西。自己写的,请多指教。--It is a class written by me, which describes cpu and rom hardware design. The picture is drawn by protel 99 se. The program is passed on Tang Du instrument.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:1722201
    • 提供者:丁宇
  1. HighSpeedBoardDesign中文版

    1下载:
  2. 本文为应用于嵌入式电子线路硬件pcb布线方面的书籍,讲解高速66M-200M主频cup的设计方法--A book describes high speed 66M-220M CPU design method. It could be applied in laying out pcb in embedded circuit hardware.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:1771411
    • 提供者:张卜南
  1. 8bit.详细的八位十六进制频率计课程报告

    0下载:
  2. 详细的八位十六进制频率计课程报告,是我的eda课程设计报告书,Detailed eight hexadecimal Cymometer curriculum report is my report on the curriculum design EDA
  3. 所属分类:软件工程

    • 发布日期:2017-03-23
    • 文件大小:77756
    • 提供者:林晓彪
  1. cpudesign_doc.rar

    0下载:
  2. RISC cpu设计的经典教程,牛人讲义哦。,RISC cpu classic design tutorials, cattle were handouts Oh.
  3. 所属分类:Windows编程

    • 发布日期:2017-03-31
    • 文件大小:293133
    • 提供者:孟天
  1. PIPE_LINING_CPU_TEAM_24

    1下载:
  2. 采用Quatus II编译环境,使用Verilog HDL语言编写实现了五段流水线CPU。 能够完成以下二十二条指令(均不考虑虚拟地址和Cache,并且默认为小端方式): add rd,rs,rt addu rd,rs,rt addi rt,rs,imm addiu rt,rs,imm sub rd,rs,rt subu rd,rs,rt nor rd,rs,rt xori rt,rs,imm clo rd,rs clz rd,rs slt rd,rs,rt sltu rd,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4947366
    • 提供者:
  1. CPUsheji

    0下载:
  2. 哈工大计算机学院设计与实践cpu设计与实践-cpu design from hit computer science
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-29
    • 文件大小:728971
    • 提供者:
  1. CPU

    0下载:
  2. 哈尔滨工业大学VHDL实验六给定指令系统的处理器设计-Six Harbin Institute of Technology VHDL test given instruction processor design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:647957
    • 提供者:俞益侃
  1. ALU

    1下载:
  2. vhdl代码 使用quartus编译 cpu中 alu的设计 可作为课程设计的参考 此为16的运算器-VHDL code using Quartus compiler cpu in alu design of curriculum design can be used as a reference for this for 16 computing device
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1081
    • 提供者:闵瑞鑫
  1. OR1200_verilog

    0下载:
  2. or1200开源risc cpu的verilog描述实现,cpu源代码分析与芯片设计一书的源码-or1200 open source Verilog descr iption of the risc cpu realize, cpu source code analysis and chip design source book
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:204573
    • 提供者:yu
  1. Chapter6-9

    3下载:
  2. 第六章到第九章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个实例
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-11
    • 文件大小:6281027
    • 提供者:xiao
  1. SmartCard1

    1下载:
  2. 智能电子钱包终端设计(一) ——CPU卡与COS文件结构-E-purse smart terminal design (a)- CPU card file structure and COS
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-29
    • 文件大小:258716
    • 提供者:彭士操
  1. 模型机的分析和设计

    0下载:
  2. 通过对计算机的组织与结构的分析,综合运用所学计算机原理知识,设计并实现较为完整的计算机,即模型机。它可以完成一般计算机的最基础功能,具备16条基本指令,以及4种寻址方式等。并且,计算机数据通路的控制将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。设计过程包括四个部分:㈠模型机硬件组成分析;㈡指令系统设计;㈢微程序设计;㈣上机实现,示范程序。- Through to the computer organi
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-11-09
    • 文件大小:115365
    • 提供者:谈明文
  1. CPU

    0下载:
  2. CPU曲线跳舞的小程序设计 可以将CPU曲线变为三角形 正弦-CPU curve small dance program design can be CPU sine curve into triangles
  3. 所属分类:CSharp

    • 发布日期:2017-03-27
    • 文件大小:14039
    • 提供者:沈浩
« 1 2 3 4 5 6 78 9 10 11 12 ... 31 »
搜珍网 www.dssz.com